688082: summary of 2021 Annual Report

Company code: 688082 company abbreviation: shengmei Shanghai shengmei semiconductor equipment (Shanghai) Co., Ltd

Summary of annual report 2021

Section I important tips

1 the summary of this annual report comes from the full text of the annual report. In order to fully understand the company’s operating results, financial status and future development plan, investors should go to www.sse.com com. cn. The website carefully reads the full text of the annual report. 2. Major risks: during the reporting period, there are no particularly significant risks that have a material impact on the company’s production and operation. The company has described the possible risks in detail in the report. Please refer to “section III Management Discussion and analysis: IV. risk factors”. 3 the board of directors, the board of supervisors and the directors, supervisors and senior managers of the company guarantee the authenticity, accuracy and completeness of the contents of the annual report, and there are no false records, misleading statements or major omissions, and bear individual and joint legal liabilities. 4. All directors of the company attended the board meeting. 5 Lixin Certified Public Accountants (special general partnership) issued a standard unqualified audit report for the company. 6. The company is not profitable and has not yet achieved profitability when it is listed □ yes √ No 7. The profit distribution plan or the plan for the conversion of provident fund into share capital in the reporting period adopted by the resolution of the board of directors

Fully considering that the company is currently in the development period, the R & D projects and business scale are expanding, and the capital demand is large, in order to better safeguard the long-term interests of all shareholders and ensure the sustainable development and capital demand of the company, the company plans not to distribute profits or convert capital reserve into share capital in 2021. This proposal has been deliberated and approved at the 17th meeting of the first board of directors of the company and needs to be deliberated at the 2021 annual general meeting of shareholders of the company. 8. Whether there are important matters such as special arrangements for corporate governance □ applicable √ not applicable

Section II basic information of the company

1 company profile company stock profile √ applicable □ not applicable

Company stock profile

Stock type stock exchange stock abbreviation before stock code change stock abbreviation and sector

A-share Shanghai Stock Exchange shengmei Shanghai 688082 is not applicable

Scientific innovation board

Profile of the company’s depositary receipts □ applicable √ not applicable contact person and contact information

Contact person and contact information secretary of the board of directors (domestic representative of information disclosure) securities affairs representative

Name: Luo Mingzhu/

Office address: Cailun Road, China (Shanghai) pilot Free Trade Zone/

Building 4, No. 1690

Tel: 02150276506/

E-mail [email protected]. /

2. Introduction to the company’s main business in the reporting period (I) main business, main products or services

1. Main business

The company is mainly engaged in the R & D, production and sales of special semiconductor equipment. Its main products include semiconductor cleaning equipment, semiconductor electroplating equipment and advanced packaging wet equipment. Adhering to the development strategy of differentiated competition and innovation, the company provides customized equipment and process solutions to global wafer manufacturing, advanced packaging and other customers through self-developed single megasonic cleaning technology, single groove combined cleaning technology, electroplating technology, stress-free polishing technology and vertical furnace tube technology, so as to effectively improve customers’ production efficiency Improve product yield and reduce production cost.

2. Main products

After years of continuous R & D investment and technical accumulation, the company has successively developed cleaning equipment such as single-chip cleaning, slot cleaning and single-chip slot combined cleaning, front copper interconnection electroplating equipment for chip manufacturing, rear advanced packaging electroplating equipment, as well as wet etching equipment, gluing equipment, developing equipment, degumming equipment for advanced packaging Stress free polishing equipment and vertical furnace tube series equipment, etc.

(1) Semiconductor cleaning equipment

① Single chip cleaning equipment

Through the self-developed saps and Tebo megasonic cleaning technology with global intellectual property protection, the company has solved the global problem of how to evenly distribute megasonic energy on the wafer and how to realize no damage to the graphic structure when megasonic technology is applied to the integrated circuit monolithic cleaning equipment. In order to maximize the production capacity, the company’s single-chip cleaning equipment can be configured with multiple process cavities according to the needs of customers, and up to 18 cavities can be configured for a single machine, which can effectively improve the production efficiency of customers.

a. Saps megasonic cleaning equipment is mainly suitable for cleaning flat wafer surface and high aspect ratio through-hole structure

The megasonic energy on the wafer surface changes periodically with the distance between the wafer and the megasonic generator. In the traditional megasonic cleaning process, the wafer warpage caused by the stress after different processes makes the distance from different points on the wafer to the megasonic generator different. Therefore, the megasonic energy at different positions on the wafer is also different, which can not realize the uniform distribution of megasonic energy on the wafer surface. Moreover, the error of hardware position control will also cause the uneven distribution of megasonic energy on the wafer surface.

The SAPS megasonic technology independently developed by the company adopts a fan-shaped megasonic generator. By accurately matching the key process parameters such as wafer rotation speed, liquid film thickness, megasonic generator position, alternating displacement and energy, and by controlling the relative motion in the half wavelength range between the megasonic generator and the wafer in the process, The energy of the sound wave is evenly distributed on the wafer surface, so that the energy of the sound wave is well controlled.

b. Tebo megasonic cleaning equipment is mainly suitable for cleaning graphic wafers, including advanced 3D graphic structures

The Tebo cleaning equipment independently developed by the company can be applied to the cleaning of graphic wafers of 28nm and below. Through a series of rapid (frequency up to one million times per second) pressure changes, the bubbles can maintain size and shape oscillation under controlled temperature, and control the bubbles in a stable shaking state without implosion, so as to keep the wafer microstructure from being damaged, Clean the pattern structure on the wafer surface without damage. Tebo cleaning equipment of the company can be applied to more sophisticated products such as FinFET, DRAM and emerging 3D NAND with 3D structure, as well as new nano devices and quantum devices in the future, and plays an increasingly important role in improving the yield of customers’ products.

② Single chip trough combined cleaning equipment

The Tahoe cleaning equipment independently developed by the company with global intellectual property protection integrates two modules in a single wet cleaning equipment: slot module and single module. It can be used in the etching process, such as cleaning after Tahoe injection, polishing, etc. The cleaning effect and process applicability of Tahoe cleaning equipment can be comparable to that of single-chip cleaning equipment. At the same time, compared with single-chip cleaning equipment, Tahoe cleaning equipment can also greatly reduce the use of sulfuric acid, help customers reduce production costs and better comply with the policy of energy conservation and emission reduction. The device has completed the client verification and entered the mass production stage.

③ Single chip back cleaning equipment

The single-chip back cleaning equipment developed by the company adopts Bernoulli chuck, applies the principle of aerodynamic suspension, and uses a manipulator to send the wafer into the cavity with the back of the wafer facing up and the front of the wafer facing down. During the process, High purity nitrogen with precise flow control is continuously input into the gap between the wafer and the fixture through the gas pipeline under the fixture and a ring of annular holes on the surface of the chuck. The equipment can be used for core processes such as back metal pollution cleaning and back etching.

④ Front brushing equipment

A single cavity is used to clean the front and back of the wafer according to the process, including brushing the back of the wafer, brushing the edge of the wafer, front and back two fluid cleaning and other cleaning processes; The equipment covers a small area, has high production capacity, strong stability, and a variety of cleaning methods are flexible and optional. It can be used in the brushing process from the front section to the back section in the integrated circuit manufacturing process.

⑤ Automatic tank cleaning equipment

The full-automatic tank cleaning equipment developed by the company is widely used in cleaning, etching, photoresist removal and other processes in the field of integrated circuits and advanced packaging. Pure water, alkaline solution and acidic solution are used as cleaning agents, combined with cleaning methods such as spraying, hot immersion, overflow and bubbling, combined with advanced atmospheric pressure IPA drying technology and advanced low-pressure IPA drying technology, It can clean 50 wafers at the same time. The equipment has high degree of automation, good equipment stability, high cleaning efficiency and low cross pollution of metals, materials and particles. The equipment is mainly used in almost all cleaning processes of 40nm and above technical nodes.

In 2021, the design, assembly and testing of 14 trough cleaners were completed, of which 10 have been transported to the client for process verification and mass production of product chips. It includes two sets of newly developed low-pressure IPA drying technology and a 200mm automatic tank cleaning equipment.

(2) Semiconductor electroplating equipment

The electroplating equipment independently developed by the company with global intellectual property protection has been verified by downstream customers, and the electroplating equipment for later advanced packaging has entered the market and obtained repeated orders. During the reporting period, the mass production verification and mass production of client equipment have been realized: four semiconductor electroplating equipment have been completed, including three ultra ECP map electroplating equipment and one ultra ECP 3D electroplating equipment, which have been verified and entered mass production and applied to 28nm, 40nm, 55nm and 65nm technology nodes and TSV a: r = 10:10 process.

① Front copper interconnection copper plating equipment

The company is one of the few companies in the world to master the core patent of copper electroplating technology for chip copper interconnection and realize industrialization. The company independently developed ultra ECP map, a copper plating technology for IC front channel copper interconnection for technology nodes of 28-14nm and below. The company’s multi anode local electroplating technology adopts a new current control method to realize the rapid switching of millisecond level between different anodes. It can complete hole free filling on the ultra-thin seed layer (5nm). At the same time, through the current adjustment of different anodes, it can achieve better uniformity of deposited copper film thickness after hole free filling, which can meet the copper plating requirements of advanced technology.

② Rear advanced packaging electroplating equipment

The company has carried out differentiated development in the field of advanced semiconductor packaging, solved the problem of realizing stable electroplating under greater electroplating solution flow, and adopted the original second anode electric field control technology to better control the film thickness uniformity control in the flat edge or notch area of the wafer, so as to achieve better on-chip uniformity and realize electroplating under the condition of high current density, All indexes of bump products meet customer requirements. 2 can be realized in the electroplating field for high-density packaging μ M electroplating of ultra-fine RDL wire and various metal layers including copper, nickel, tin, silver and gold. The patented rubber ring sealing technology independently developed by the company can achieve better sealing effect and avoid electroplating solution leakage and plating problems.

(3) Semiconductor copper throwing equipment

① Front copper interconnection copper throwing equipment

After research, the company found that the ruthenium surface can be electrolytic oxidized by SFP process, and then etched by dilute hydrofluoric acid, which can achieve a good removal effect of ruthenium metal layer without mechanical stress, and solve the problem of damage to micro copper wire and surrounding dielectric materials. This technology can be used in copper interconnection processes below 5nm and 3nm technology nodes. At the same time, because there is no mechanical stress, it is easier to integrate ultra-low k dielectric (k 2) with copper wire, so as to improve the operation speed of the chip.

② Back channel advanced packaging stress-free copper polishing equipment

Aiming at the flattening application of metal layers such as 3D TSV, 2.5D silicon intermediate layer, RDL and HD fan out in advanced packaging, the company has independently developed a stress-free polishing equipment with global intellectual property protection. The equipment has the characteristics of stress-free process and reusable polishing electrochemical solution, so as to reduce material consumption cost and environmental protection emission.

(4) Advanced packaging wet equipment

The company adheres to the differentiated competition strategy and expands the product application to the field of advanced packaging application based on the technology of advanced integrated circuit front-end wet cleaning equipment. Taking the typical process flow of bump packaging of advanced packaging as an example, the single-chip wet equipment involved in the whole process flow includes cleaning equipment, gluing equipment, developing equipment, degumming equipment, wet etching equipment, stress-free polishing equipment, etc.

At present, the company’s products in the advanced packaging industry have covered all single-chip wet equipment, and the products have successively entered the production lines of packaging enterprises and scientific research institutions, including well-known packaging enterprises and scientific research institutes such as Jcet Group Co.Ltd(600584) , Tongfu Microelectronics Co.Ltd(002156) , SMIC Changdian, nepes, Huajin semiconductor and the Institute of microelectronics of the Chinese Academy of Sciences.

(5) Vertical furnace tube equipment

The vertical furnace tube equipment developed by the company is mainly composed of wafer transmission module, process cavity module, gas distribution module, temperature control module, tail gas treatment module and software control module. It is designed and manufactured according to different applications and process requirements. It first focuses on furnace tube LPCVD equipment, and then develops to oxidation furnace and diffusion furnace, Finally, it gradually enters the application of furnace tube ALD equipment. (2) Main business model

1. Profit model

As a semiconductor special equipment enterprise facing the international scientific and technological frontier and adhering to independent innovation, the company follows the global industry practice, mainly engaged in technology and process research and development, product design and manufacturing, and provides customers with equipment and process solutions. The company itself is hardly engaged in parts processing business. According to the design of products, the company has organized parts outsourcing and outsourcing, and has established a perfect supply chain system in the US, Korea and Chinese mainland, and established close cooperation relationship with core suppliers, thus ensuring the supply of important parts. The company is formed through long-term R & D accumulation

- Advertisment -